If you pay me i will complete
it.:)
code for chasing LED board with 18 LED’s on board
enity chase_circuit is port ( i_clk : std_logic,
i_reset : std_logic,
o_LED_ctl : std_logic_vector(17 downto 0)
);
end entity;
architecture of chase_circuit is
signal led_ctl:std_logic_vector(17 downto 0);
signal counter:std_logic_vector(15 downto 0);
begin
process(i_clk,i_reset)
begin
if(NOT(reset) == ‘1’) then
counter <= x”FFFF”; --change this value to decide speed if you increase the value the L
else
if(i_clk’event and i_clk=’1’)then
if(counter = 0)then
counter <= x”FFFF”; --LEDs will move at a slow pace
elsif(counter /= x”0000”)
counter <= counter - ‘1’;
end if;
end if;
end if;
end process;
process(i_clk,i_reset)
begin
if(NOT(reset) == ‘1’) then
LED(17 downto 0) <= “100000000000000000”
else
if((counter = 0) && (direction == ‘0’))then
LED(17 downto 0) <= ‘0’ && LED(17 downto 1);
elsif((counter = 0) && (direction == ‘1’))then
LED(17 downto 0) <= LED(16 downto 0) && ‘0’;
else
LED(17 downto 0) <= LED(17 downto 0);
end if;
end if;
end process;
process(i_clk,i_reset)
begin
if(NOT(reset)=’1’)then
direction <= ‘0’;
else
if((counter = 0) && (LED(17 downto 0) = “000000000000000001”))then
direction <=