Find Jobs
Hire Freelancers

I need your help in writing c code for a circuit

$10-11 USD

Đã đóng
Đã đăng vào hơn 8 năm trước

$10-11 USD

Thanh toán khi bàn giao
I need your help in writing c code for chasing led circuit (VHDL) please check attached file for details can pay 10 $ and best review and need in an hour or 2
Mã dự án: 8440761

Về dự án

19 đề xuất
Dự án từ xa
Hoạt động 9 năm trước

Bạn muốn kiếm tiền?

Lợi ích khi chào giá trên Freelancer

Thiết lập ngân sách và thời gian
Nhận thanh toán cho công việc
Phác thảo đề xuất của bạn
Miễn phí đăng ký và cháo giá cho công việc
19 freelancer chào giá trung bình $20 USD cho công việc này
Avatar người dùng
Dear sir I have more than 8 years experience in digital design using vhdl please check my profile also please message me so that we can discuss
$15 USD trong 0 ngày
5,0 (184 nhận xét)
7,2
7,2
Avatar người dùng
A proposal has not yet been provided
$15 USD trong 1 ngày
4,8 (70 nhận xét)
5,9
5,9
Avatar người dùng
Hello! You know me I can help you in no time! Send me a message! Have a nice day! .
$10 USD trong 0 ngày
4,9 (37 nhận xét)
5,1
5,1
Avatar người dùng
A proposal has not yet been provided
$15 USD trong 1 ngày
4,7 (14 nhận xét)
4,8
4,8
Avatar người dùng
I had done MS in Engineering. Also Had 8+ years of experience in the field of programming using Verilog HDL and VHDL. I can do this task for you
$111 USD trong 1 ngày
5,0 (13 nhận xét)
4,7
4,7
Avatar người dùng
I can acomplish this work in few hours. I have 10+ years of experience in the same area. please let me know how to take this further ?
$15 USD trong 1 ngày
4,7 (11 nhận xét)
4,2
4,2
Avatar người dùng
Hello Sir, I will do it for you sir. I am new here and looking to start my career here. please give me a chance. Thank you
$29 USD trong 1 ngày
5,0 (1 nhận xét)
1,6
1,6
Avatar người dùng
Please I need clarification on this project. .
$10 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
I am an electrical engineer specialized in electronics and communication and also working as instructor in University.I have very good expertise in circuit designing , digital design, communication system etc. I am also Lab instructor in University and have conducted Labs of Circuit design, Digital design. I have also supervised many projects related to Electric and Electronics circuits, Digital design and microcontroller Based Circuits.
$25 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
A proposal has not yet been provided
$10 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
A proposal has not yet been provided
$15 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
If you pay me i will complete it.:) code for chasing LED board with 18 LED’s on board enity chase_circuit is port ( i_clk : std_logic, i_reset : std_logic, o_LED_ctl : std_logic_vector(17 downto 0) ); end entity; architecture of chase_circuit is signal led_ctl:std_logic_vector(17 downto 0); signal counter:std_logic_vector(15 downto 0); begin process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then counter <= x”FFFF”; --change this value to decide speed if you increase the value the L else if(i_clk’event and i_clk=’1’)then if(counter = 0)then counter <= x”FFFF”; --LEDs will move at a slow pace elsif(counter /= x”0000”) counter <= counter - ‘1’; end if; end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then LED(17 downto 0) <= “100000000000000000” else if((counter = 0) && (direction == ‘0’))then LED(17 downto 0) <= ‘0’ && LED(17 downto 1); elsif((counter = 0) && (direction == ‘1’))then LED(17 downto 0) <= LED(16 downto 0) && ‘0’; else LED(17 downto 0) <= LED(17 downto 0); end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset)=’1’)then direction <= ‘0’; else if((counter = 0) && (LED(17 downto 0) = “000000000000000001”))then direction <=
$15 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
i have a good knowledge about microcontroller programming and have done number of projects.i will do your work easily and accurately.i will make a good function where you have to write what you want to send and specify the to whom you send.
$15 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
I have extensive knowledge on System C, Verilog and VHDL. I can do the job for you in an hour or two. I have worked on these projects before.
$10 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
I have done it using altera de0 and could do it again with DE1.
$20 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
Hi.........I m a VLSI trainer in govt of india authorized center. I hope can do this within a less period of time. Hope to get a reply from u as soon as possible. Thank u
$12 USD trong 1 ngày
0,0 (0 nhận xét)
1,2
1,2
Avatar người dùng
A proposal has not yet been provided
$15 USD trong 0 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
A proposal has not yet been provided
$10 USD trong 1 ngày
0,0 (0 nhận xét)
0,0
0,0
Avatar người dùng
Hi I am a electronics student here at IIT, Khargapur. Studying at the best college of electronics In India. Since I am a 4th year student a have recently gone through all the details of the concept which your project might require. And also since now a days I am free so I can work as much as 15-20hours/week. Since I am in college so I also have the knowledge of most the software's which are required for project work and I have unlimited access to these software's. Recently I also developed a product for a MNC using c/c++ and verilog so I have a very good understanding of it. So please let me do your project and I will never let you down.
$10 USD trong 0 ngày
0,0 (0 nhận xét)
0,0
0,0

Về khách hàng

Cờ của OMAN
Mutrah, Oman
4,9
359
Phương thức thanh toán đã xác thực
Thành viên từ thg 11 17, 2009

Xác thực khách hàng

Cảm ơn bạn! Chúng tôi đã gửi email chứa đường link để bạn lấy tín dụng miễn phí.
Đã xảy ra lỗi trong khi gửi email của bạn. Hãy thử lại.
Người Dùng Đã Đăng Ký Tổng Số Việc Đã Đăng
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Đang tải xem trước
Đã cấp quyền truy cập vị trí.
Phiên đăng nhập của bạn đã hết hạn và bạn đã bị đăng xuất. Hãy đăng nhập lại.